シェルを作ろう(1)コマンドを実行する。

さて、今回は予告通り入力を受け取って、コマンドを実行するところまで試してみたいと思います。 使用する関数、システムコール printf fgets strcpy strtok calloc/malloc execve 入力を受け取る(fgets) さて、Cで入力(文字列)を受け取りたい時どんな関数…

シェルを作ろう(0)導入編

先日、某理学部情報科学科システムプログラミング実験の課題の一つとしてシェル(コマンドラインシェル)を作りました。 (制作期間2週間、OCamlのインタプリタの作成と並行だったのでえぐかった…) 授業の課題要件を超えて色々と実装したので、せっかくなので…

VHDLの基本的な論理演算と型(VHDL入門その1)

VHDLの基本的な論理演算 構文 意味 A<=B AにBを代入 A and B AとBの論理積 A or B AとBの論理和 A xor B AとBの排他的論理和 not A Aの否定 A nand B not ( A and B ) A nor B not ( A or B) A xnor B not (A xor B) VHDLとデータ型 VHDLでは様々なデータ型…

VHDLを試してみた。

この記事はIS17er Advent Calendar 2016 - Adventar23日目の記事として書かれました。 VHDLとは? VHDL(Very High Speed Integrated Circuit Hardware Description Language)は米国防総省で作られたハードウェア記述言語の一種で、現在はIEEEによって標準化…

sublimetextでcを書く(情報科学基礎実験を乗り越えるために)

この記事はIS17er Advent Calendar 2016 - Adventarの8日目の記事として書かれました。 情報科学基礎実験もとうとうアセンブリに突入しニーズが低いとは思いますが、きっと誰かの役に立つと信じてsublimetextでc言語の開発環境?を整えた話を書きます。(僕自…

ログインシェルの変更(Mac,zsh)

macの標準のシェルはbashだが、zshの方が何かと便利らしいのでとりあえず導入。 zshの導入 zshも標準でインストールされているものがあるが、バージョンが古かったので先日導入したbrewを使ってzshをインストール brew install zsh 確かこれでインストールし…

パッケージマネージャーの導入

Macの入手から数日... 中間試験やら走っていたりやら、Macに触りたくても触れない生活が続いた末にようやく少し遊ぶ余裕ができたので、少し環境構築を進めることができたので投稿。 Windowsと異なりせっかく標準でターミナル環境が整っていることだしソフト…

ブログ開設

とうとうMacBookを手に入れた! というわけで?以前から作ろうと思っていたブログを始めました。 とは言っても基本的には情報系全般に関する備忘録的なものになる予定(もしかしたらマラソン関係についても何か書くかもしれませんが...)。 今まではEvernote…